Normal view MARC view
  • VHDL (Computer hardware description language)

VHDL (Computer hardware description language) (Topical Term)

Preferred form: VHDL (Computer hardware description language)
Used for/see from:
  • VHDL (Bilgisayar donanım tanımlama dili)
  • VHDL (Bilgisayar donanım tanımlayıcı dil)
  • Very High Speed Integrated Circuits Hardware Description Language (Computer hardware description language)
  • Çok Yüksek Hızlı Entegre Devreler Donanım Tanımlama Dili (Bilgisayar donanım tanımlayıcı dil)
  • Çok Yüksek Hızlı Entegre Devreler Donanım Tanımlama Dili (Bilgisayar donanım tanımlama dili)
  • VHSIC Hardware Description Language (Computer hardware description language)
  • VHSIC Donanım Tanımlama Dili (Bilgisayar donanım tanımlama dili)
  • VHSIC Donanım Tanımlama Dili (Bilgisayar donanım tanımlayıcı dil)

Work cat.: Coelho, D.R. The VHDL handbook, c1989.

VHDL International WWW site, June 9, 1998 (VHDL = VHSIC (Very High Speed Integrated Circuits) Hardware Description Language; formal notation intended for use in all phases of the creation of electronic systems, supporting the development, verification, synthesis, and testing of hardware design, the communication of hardware design data, and simulation of hardware descriptions)

INSPEC; ASTI; TEST; McGraw-Hill dict. sci. tech.; Chambers sci/tech dict.

Op 25.09.2019

Devinim Yazılım Eğitim Danışmanlık tarafından Koha'nın orjinal sürümü uyarlanarak geliştirilip kurulmuştur.